Curated by THEOUTPOST
On Mon, 15 Jul, 4:01 PM UTC
9 Sources
[1]
AMD Zen 5 architecture: A ground up redesign that lays the foundation for future Ryzen CPU architectures
The AMD Zen 5 CPU architecture will form the backbone of the next generation of Ryzen desktop and laptop processors, and while we got a general idea of the new tech during the Computex unveiling a month back, we've now been treated to the full architecture monty. I've spent the past week immersed in the new Ryzen technology at the recent AMD preview event in LA and, although we'll have to wait a little longer to see just how much oomph the new chips have, we now have much more information about what makes Zen 5 tick. Before diving into the finer details, it's worth revisiting the information revealed back at the start of June. At Computex 2024, AMD announced the Zen 5-based Ryzen 9000-series desktop CPUs and Ryzen AI 300 mobile APUs were set to launch during the month of July. As was expected, Dr Lisa Su's Computex keynote was full of general details for a general audience, with little meat on the bone regarding the architecture itself. The Ryzen 9000-series is codenamed Granite Ridge. And, apart from the architectural improvements, the new models are physically similar to their Ryzen 7000-series predecessors. The CCDs, or Core Complex Dies are still made up of eight cores, with a shared 32MB of L3 cache. The Ryzen 9 9950X and 9900X come with two CCDs, while the Ryzen 7 9700X and Ryzen 5 9600X only need one. All chips include a separate I/O die, which is the same as that used with Zen 4. Granite Ridge includes an RDNA2 integrated GPU with two compute units. The CCDs are manufactured with TSMC's N4 process, while the I/O die is fabbed on TSMCs N6 process. Strix Point mobile APUs come with monolithic dies, and its a large one at that. They're being branded as the Ryzen AI 300 series. You just know that AI had to be placed in that name didn't you? Two models have been announced so far. They are the Ryzen AI 9 HX 370 and Ryzen AI 9 365. These feature 12 cores / 24 threads and 10 cores / 20 threads respectively. Both chips' CPU cores are based on the Zen 5 architecture. Both include an XDNA 2 NPU capable of up to 50 TOPS, and RDNA 3.5 integrated graphics. The Ryzen AI 9 HX 370 features Radeon 890M graphics with 16 CUs, while the Ryzen AI 9 365 features Radeon 880M graphics with 12 CUs. AMD demonstrated laptops with 890M graphics running the very demanding Cyberpunk 2077 at 1080p at above 55 FPS, albeit with FSR and AFMF activated. As they are Ryzen 9 models, these chips will find their way into high performance notebooks. Ryzen 7 and Ryzen 5 models are sure to follow later in the year. Strix Point features a mix of higher performing Zen 5 cores and efficiency focused Zen 5c cores. These aren't like Intel's hybrid P and E-cores though, Zen 5c cores are the same as the larger cores, though they come with less L3 cache and lower clocks. This saved die space, allowing AMD to allocate its transistor budget to other areas, such as the NPU and GPU. AMD says this approach doesn't require software awareness or anything like an on-chip Thread Director that Intel designs do. The 5c cores simply kick in lower on the voltage / frequency curve, when the larger cores would be power limited anyway. AMD attributes most of the Zen 5 improvements to four key areas. They are instruction fetch and decode, integer execution, load and store, and the floating point/math execution units. The front end redesign includes improved branch prediction with lower latency, better accuracy and greater throughput. Branch prediction is one of the fundamental pillars of x86 performance. The better it is, the fewer clock cycles get wasted, leading to better performance and power efficiency. The aim is "to keep the beast fed" as AMD colourfully put it. Downstream, Zen 5 includes dual port instruction and op caches, so it includes not just better branch prediction, but more predictions per cycle. Zen 5 also includes dual decode pipes, whereas Zen 4 included only one. As improved predictions and instructions are coming through the wider pipeline, AMD needed to make improvements to its instruction dispatch and execution engine. This engine now includes eight-wide instruction dispatch and retire capability each cycle, an increase from the six of Zen 4. With more instructions comes the need for improved scheduling, and to this end AMD redesigned its ALU scheduler, which is now more unified than that of Zen 4. Zen 5's retire queue/reorder buffer is 40% larger than the 320 ops of Zen 4 at 448 instructions deep, giving the CPU a wider window of instructions for out-of-order execution. The Arithmetic Logic Unit (ALU) count has been increased to six from Zen 4's four. We all love more bandwidth, and the load and store functions of CPUs are no different. The 12-way L1 data cache is now 48Kb in size, up from the 8-way 32Kb of Zen 4. That's a whopping 50% increase, and AMD engineers did it without any latency increase, which is usually the case with larger caches. This L1D cache supports four loads per cycle. AMD says this is particularly beneficial for 512-bit loads. The L1 to L2 bandwidth has also doubled and the prefetching algorithms have been tweaked. The final key Zen 5 improvements are to the floating point/vector math units. Zen 5 now supports native AVX-512. Zen 4 achieved this by 256-bit double pumping. Interestingly, AMD says Zen 5 processors will run AVX-512 without any frequency penalty. Strix Point supports full AVX-512 too which will remain challenging for notebook cooling and TDPs, though AMD says it still supports the 2x256-bit option depending on the configuration. Note that several of the particularly favorable pre-release AMD benchmarks take advantage of full AVX-512. Machine learning is another field that will benefit from AVX-512 instructions. So, the Zen 5's front end has been dramatically improved, as have the execution units. Latency is lower, and throughput and bandwidth have increased, all while keeping power consumption in check. In the case of Granite Ridge, all the SKUs have lower TPDs than their predecessors, with the exception of the Ryzen 9 9950X, which is the same. AMD is understandably quite proud of its 16% average IPC uplift. The gaming improvements are likely to be less overall, but do note the League Of Legends uplift at a seriously impressive 21%. The lower TDPs give users some additional Precision Boost Overdrive headroom, particularly in the case of the 9700X, where AMD claims users can gain as much as 15% higher performance. You'll get even more if you use Curve Optimizer and the new Curve Shaper tool. The latter allows users to tweak the underlying voltage curves to maximize performance. One of the interesting omissions from Ryzen 9000-series processors is a dedicated NPU. Why, you might ask? I asked AMD Corporate Fellow and Chief Zen Architect Mike Clark about this, and the answer was illuminating. Including an NPU wasn't deemed essential given that most Ryzen 9000 systems will include discrete GPUs, which are already highly capable AI processors. Granite Ridge also includes the AVX512-VNNI (Vector Neural Network Instructions) instruction set. AMD believes a complete redesign of the I/O die was therefore not justified. Time will tell if this design choice was the right one. Ryzen 9000 series chips reportedly have a 15% thermal resistance improvement, leading to an average 7°C temperature reduction at the same TDP. It's no secret that Ryzen 7000-series chips got a bit toasty, so this will be a welcome improvement and it should allow 9000-series chips to hold higher clocks without thermal throttling with less-than-stellar cooling solutions. One of the more eyebrow raising features is support for on-the-fly memory overclocking. For example, you could use Ryzen Master to increase your memory speed for gaming, while lowering it for daily use. DDR5 support has increased to 5600 MT/s 'officially', with EXPO profiles up to 8000 MT/s also supported. If you recall at Computex, G.Skill demonstrated a Ryzen 5 8500G system running DDR5-10600 memory. AMD says Granite Ridge should be capable of even more. I heard DDR5-11000 mentioned, though that number is likely out of reach without extreme cooling. AMD says the sweet spot for Zen 5 remains in the 6000 to 6400 MT/s range in terms of compatibility, cost, and performance. But, as DDR5 speeds are continually on the rise it will be interesting to see whether memory in the 8000 MT/s+ range could end up making some sense for gaming, as higher frequencies will eventually deliver enough raw speed to overcome the latency penalty you get when running 1:2 mode on the memory controller. That's something I personally look forward to testing. We got a good look at the specifications of the upcoming 800-series chipsets. There's little that's revolutionary here, though mandatory USB 4 is welcome on X870E and X870 motherboards. It is disappointing to see PCIe 3.0 support only for entry level B840 motherboards. That could throw up issues for future graphics cards with x4 or x8 interfaces. I asked AMD about how the Ryzen 7800X3D compares to the Ryzen 7 9700X in gaming. The answer I got was that the 9700X should squeak ahead on average, though cache-loving games will favor the 7800X3D. On a related note, AMD pointed out that the 9700X is on average 13% faster than the Ryzen 7 5800X3D. This will give AM4 gamers a little incentive to make the switch, though 9000X3D chips will be worth waiting for if you are on the fence about switching to AM5. Of course, AMD gave an entirely expected 'no comment' when I asked about 9000X3D chips, but you can be sure that such chips are coming. AMD will be hoping to take some wind out of the sails of Intel and its upcoming Arrow Lake processors, which are coming later this year. One of the little things I picked up on is that AMD designed Zen 5 to be a foundational architecture. The front end improvements were designed to do what AMD phrased as "keeping the beast fed". Though AMD cannot be expected to comment on future architectures, I do wonder if some of the groundwork is there to enable Zen 6 to scale to higher core counts. Only AMD will know what bottlenecks can be improved upon, or if there is some low hanging fruit waiting to be picked. The latter is unlikely given the historical difficulty of achieving significant inter-generational x86 IPC improvements along with the slowing down of Moore's Law. We won't have long to wait and see if AMD's confidence is justified. Ryzen 9000-series CPUs are due to launch on July 31, with Ryzen AI 300-series laptops due imminently, depending on the OEM.
[2]
AMD shares details on Zen 5 with new Ryzen 9000 CPUs arriving July 31
Key Takeaways New AMD Ryzen processors offer significant gains over Intel, especially in terms of performance and efficiency. Utilizing Zen 5 architecture on advanced process nodes, AMD's Ryzen 9000 series impresses with powerful specifications. AMD introduces new 800-series chipsets with DDR5-8000 support and real-time memory overclocking for enhanced performance. AMD held an event called Zen 5 Tech Day, which allowed the company to showcase in-depth details about the brand's upcoming silicon. Zen 5 will be powering the next generation of AMD processors and banchmarks have been released by the company that puts the new chips against Apple, Intel, and Qualcomm processors. The new Zen 5 details were joined by the upcoming motherboard chipsets, an all-new RDNA 3.5 GPU, and XDNA 2 NPUs. Showing off the new AMD Ryzen 9000 CPUs Source: AMD The AMD Ryzen 9000 series of processors, codenamed Granite Ridge, rock a new microarchitecture with a reported 16% increase in instructions-per-cycle (IPC). This is important for generational releases since it provides an idea as to how much of an improvement the new processors are compared to existing chips. The new Zen 5 architecture will power processors on 4nm and 3nm process nodes. We've already covered the new processors, but here's a quick refresher on what AMD will be launching. Ryzen 9 9950X 16 cores / 32 threads 5.7GHz max boost 80MB cache 170W TDP Ryzen 9 9900X 12 cores / 24 threads 5.6GHz max boost 76MB cache 120W TDP Ryzen 7 9700X 8 cores / 16 threads 5.5GHz max boost 40MB cache 65W TDP Ryzen 5 9600X 6 cores / 12 threads 5.4GHz max boost 38MB cache 65W TDP All four processors support DDR5-5600 RAM as standard, though you can be sure these chips will happily run with EXPO profiles enabled. AMD shared official benchmark data for the new processors, though we'd hold off on reading too much into the numbers before our own testing. To put it into perspective, however, AMD is looking at considerable gains with the 9000 series over Intel. Another area AMD is expected to do well with is efficiency. Intel continues to struggle to keep power and heat down without sacrificing performance. Its latest 14th-gen chips have encountered stability issues and things are only set to worsen if Intel is unable to step up its game and keep up with AMD. Related The new Ryzen 9000 CPUs show that AMD is playing it safe Granite Ridge is almost here, but should you consider buying these new desktop CPUs? The flagship Ryzen 9 9950X draws a maximum of 230W, compared to the 14900K which can easily surpass 300W. It wasn't just Intel that AMD compared its new processors against. Gaming was high on the list and the Ryzen 7 9700X was put up against one of the best Zen 3 chips for gaming, the mighty Ryzen 7 5800X3D. Incredibly, the 9700X was shown to be around 12% faster than the 5800X3D for 1080p gaming, which is simply not possible with the AMD Ryzen 7000 series, unless buying a dedicated X3D chip. Diving into the Zen 5 architecture Source: AMD So, what's powering all these new processors and how has AMD managed to squeeze out around 16% improvement over the previous generation? It all boils down to the Zen 5 architecture and TSMC's N4P node, an upgrade to the manufacturer's standard 4nm node, which was essentially an offshoot of its 5nm line-up. (Don't worry, it's not quite as confusing as Intel's node generations and naming.) As reported by Tom's Hardware, this N4P node is where the bulk of the improvements can be found with an 11% performance boost, 22% better power efficiency, and a 6% higher transistor density, compared to N5. The process node improvements allowed AMD to dial back the TDP slightly yet showed the Ryzen 9000 series processors running at lower temperatures when set to the same TDP. Using resource-intensive apps and games is when the CPU produces the most heat. It's inevitable when drawing more power through the motherboard socket. AMD and its partner TSMC can only do so much to battle against physics and efficiency is key when attempting to get as much performance out of a component without increasing operating temperatures. That's where TSMC's N4P node and AMD's Zen 5 architecture come into play. Close Speaking of temperatures, AMD engineers went into some detail about improvements made to the chip design to combat hotspots, and the temperature sensors were moved to more optimal locations to work better with a smarter firmware control algorithm. All this results in tighter control for clock speeds and temperature readings for better overall performance. And if you're not into overclocking or pushing the chips to their limits, you can expect to experience a cooler and quieter system. As mentioned above, Zen 5 is set to be the foundation of more than one generation of microarchitectures and be used for processors on TSMC's 4nm and 3nm process nodes. A similar core compute die (CCD) and input/output die (IOD) configuration is used with basic graphics support and connectivity. Interestingly, AMD is moving to a slight hybrid approach with its core design. Intel has "normal" P-cores and slower E-cores that are completely different and are designed for separate tasks, although they can be used together. AMD is using full-size Zen 5 cores and slightly smaller Zen 5c cores. Unlike Intel, AMD uses the same microarchitecture for both the Zen 5 and Zen 5c cores, so you can expect to see the same feature set for all cores on the chip. These Zen 5c cores will operate at slower clock speeds, however, and provide weaker performance at peak power draw, but they do allow AMD to make use of the free space for GPU and NPU computing, making the Zen 5 processors more versatile. Close Each core on the chip will have its own L1 and L2 cache with the 16MB of L3 cache split into two 8MB slices, one for Zen 5 cores and the other for Zen 5c cores. This does introduce some minor latency due to the caches having to communicate without one another but AMD states this won't have any impact on performance. Scheduling mechanisms will be used to handle workloads across the different core types, ensuring background tasks are sent to 5c cores and high-latency transfers occur as infrequently as possible. The front-end design has been tweaked to improve fetch, decode, and dispatch elements for feeding a wider execution engine. AMD states this will lead to generational gaming performance improvements, thanks to the doubling of the data bandwidth between L2 and L1 caches and the L1 cache to the floating point unit. This is the first time AMD has widened the Zen execution engine to support up to eight instructions per cycle, which helps with the overall IPC gains the company showcased at this event. AI was also present AMD couldn't have held an event without at least mentioning AI in today's climate and the company failed to disappoint those obsessed with the terminology. Benchmarks were shared highlighting processor support for the AI-optimized instruction set VNNI. The Ryzen 9 9900X beat the Intel Core i9-14900K but this doesn't mean much for the average PC user. What was interesting was the better performance running an AI model on multiple GPUs. We've already covered the Ryzen AI 300 series, so be sure to check our extensive coverage on AMD's AI chips. Related AMD's Strix Point laptop chips go hard on AI The company is still keeping a lot of secrets New 800-series chipsets for new CPUs Source: AMD AMD won the hearts and minds of PC owners with its AM4 platform, which launched with the original Ryzen 1000 series in 2017. For AM5, which launched with the AMD Ryzen 7000 series, the company plans to offer support through 2027 at the earliest and the new X870 and X870E chipsets have already been showcased at Computex. Although the new 9000 series chips will work with older motherboards, the new 800-series motherboards will have USB 4.0 and PCIe 5.0 for GPUs and storage as standard. Overclocking is thrown around almost as much as AI these days and the X800 series will not disappoint. AMD states they will be able to support up to DDR5-8000, which is rapid for system memory. The new chips will introduce real-time memory overclocking too, making the Ryzne Master app even more useful for those with DIY PC builds. PBO will also be able to take advantage of the lower TDP range for better gains when paired with adequate CPU cooling. This is something Intel has had to scale back, especially with its Intel Core i9 range due to power and temperature constraints. Source: AMD Not everyone has the money to cover the cost of an X800 motherboard, which is where the newly announced B840 chipset comes into play, sitting just below the B850. Interestingly, this B840 chipset offers memory overclocking (both manual and using profiles) but not CPU overclocking. You can think of it as a slightly beefed chipset to offer more than the budget-friendly A800 series, which I fully expect to see announced at some point. As well as the CPU overclocking restriction, the B840 chipset will only have a single PCIe 3.0 x16 connection. The new motherboards will not likely launch with the Ryzen 9000 series, set to arrive at the end of July. Pricing is yet to be confirmed with AMD for the new chips, though we expect them to be roughly in line with previous generation SKUs.
[3]
The AMD Zen 5 Microarcitecure: Powering Ryzen AI 300 Series For Mobile and Ryzen 9000 for Desktop
The AMD Zen 5 Microarcitecure: Powering Ryzen AI 300 (Mobile) and Ryzen 9000 Series (Desktop) Back at Computex 2024, AMD unveiled their highly anticipated Zen 5 CPU microarchitecture during AMD CEO Dr. Lisa Su's opening keynote. AMD announced not one but two new client platforms that will utilize the latest Zen 5 cores. This includes AMD's latest AI PC-focused chip family for the laptop market, the Ryzen AI 300 series. In comparison, the Ryzen 9000 series caters to the desktop market, which uses the preexisting AM5 platform. Built around the new Zen 5 CPU microarchitecture with some fundamental improvements to both graphics and AI performance, the Ryzen AI 300 series, code-named Strix Point, is set to deliver improvements in several areas. The Ryzen AI 300 series looks set to add another footnote in the march towards the AI PC with its mobile SoC featuring a new XDNA 2 NPU, from which AMD promises 50 TOPS of performance. AMD has also upgraded the integrated graphics with the RDNA 3.5, which is designed to replace the last generation of RDNA 3 mobile graphics, for better performance in games than we've seen before. Further to this, during AMD's recent Tech Day last week, AMD disclosed some of the technical details regarding Zen 5, which also covers a number of key elements under the hood on both the Ryzen AI 300 and the Ryzen 9000 series. On paper, the Zen 5 architecture looks quite a big step up compared to Zen 4, with the key component driving Zen 5 forward through higher instructions per cycle than its predecessor, which is something AMD has managed to do consistently from Zen to Zen 2, Zen 3, Zen 4, and now Zen 5. Both the AMD Ryzen AI 300 series for mobile and the Ryzen 9000 series for desktops are powered by AMD's latest Zen 5 architecture, which brings a host of improvements in performance and efficiency. Perhaps the biggest improvement within their mobile lineup is the integration of the XDNA 2 NPU, which is designed to make use of the Microsoft Copilot+ AI software. These new mobile processors via the NPU can deliver up to 50 TOPS of AI performance, making them a significant upgrade in AMD's mobile chip lineup. The key features under the hood of the Zen 5 microarchitecture include a dual-pipe fetch, which is coupled with what AMD is calling advanced branch prediction. This is designed to reduce the latency and increase the accuracy and throughput. Enhanced instruction cache latency and bandwidth optimizations further the flow of data and the speed of the data processing without sacrificing accuracy. The Zen 5 integer execution capabilities have been upgraded over Zen 4, with Zen 5 featuring an 8-wide dispatch/retire system. Part of the overhaul under the hood for Zen 5 includes six Arithmetic Logic Units (ALUs) and three multipliers, which are controlled through an ALU scheduler, and AMD is claiming Zen 5 uses a larger execution window. These improvements should theoretically be better with more complex computational workloads. Other key enhancements that Zen 5 comes with include more data bandwidth than Zen 4, with a 48 KB 12-way L1 data cache that can cater to a 4-cycle load. AMD has doubled the maximum bandwidth available to the L1 cache, and the Floating-Point Unit has been doubled over Zen 4. AMD also claims it has improved the data prefetcher, which ensures faster and more reliable data access and processing. Zen 5 also introduces a full 512-bit AI datapath, which uses AVX-512 with the full 512-bit data path and six pipelines with two-cycle latency FADD. Although Zen 4 can support AVX-512 instructions, it uses two 256-bit data paths that work in tandem with each other, with the term 'double pumping' being the most widely used term for it. Zen 5 now has a full AVX-512 data path, which is a welcomed improvement. Looking at what AMD is claiming regarding the IPC uplift for Zen 5, AMD claims an average improvement of 16% over Zen 4. AMD has, of course, provided in-house figures, which show across-the-board improvements in various benchmarks. This includes a 10% uptick in Far Cry 6 to 15% in Speedometer and an even bigger uplift of 21% in League of Legends. AMD's biggest claim is a large 35% improvement in Geekbench 5.4 AES-XTS. That's an impressive uplift in performance, although the Geekbench 5 AES XTS test utilizes VAES+ and AVX10/512, which for processors that support these instructions as Zen 5 does can be advantageous. As we've seen through previous iterations of their Zen microarchitecture, AMD is making Zen 5 available across the entire product family. The full-fat Zen 5 cores are made on TSMC's 4nm anode, while the more compact and more power-efficient Zen 5c cores are made on TSMC's 3nm process technology. AMD's upcoming 5th generation EPYC CPUs, codenamed 'Turin,' are expected to launch sometime in the second half of 2024, which leverage up to a whopping 192 Zen 5 cores. AMD previously announced that 5th Gen EPYC would be coming in 2024 back in June 2022 during their Financial Analysts Day. Leading the pack from AMD's new Ryzen AI 300 series is the Ryzen AI 9 HX 370, with 12 Zen 5 cores up to 5.1 GHz maximum boost frequency and comes with a 24 MB L3 cache. Moving down the stack is the Ryzen AI 9 365, which has 10 Zen 5 cores and can boost up to 5.0 GHz. It has the same 24 MB of L3 cache as the HX 370, just with fewer Zen 5c cores. While it's likely AMD will announce more SKUs at a later date, there are just two for now: one from the more performant HX series and one without a prefix. Both Ryzen AI 300 series chips are aimed at high-performance notebooks, and as they have a rather wide TDP range of 15 Watts to 54 Watts, the chips can conceivably be placed in anything from an ultrabook to a desktop replacement laptop. AMD is using its full-fat Zen 5 cores and incorporating its more compact Zen 5c cores, which should provide some trade-offs in power efficiency vs. performance. While previous models in AMD's Ryzen mobile families had 8 full-fat cores, the Ryzen 300 AI series brings a mixture of regular and compact cores to the table. The top SKU also wears the HX prefix, which means it's part of the more premium and high performance of AMD's line-up. The Ryzen AI 9 HX 370 is only a 12C/24T part, but with the latest Zen 5 cores backed with AMD's latest Zen 5 microarchitecture under the hood and all of the IPC gains associated with Zen 4, which AMD brings to the table. Looking at the Ryzen AI 9 365, it is a 10C/20T part with a maximum boost frequency of up to 5.0 GHz, and like the Ryzen AI 9 HX 370, it also comes with the latest RDNA 3.5-based Radeon 890M integrated graphics. Both chips share this particular integrated graphics processing unit (GPU). In our previous article, which announced the AMD Ryzen AI 300 series back at Computex, AMD stated that the AMD RDNA 3.5 Radeon integrated graphics would feature up to 16 graphics compute units, with the Ryzen AI 9 HX 370 coming with 16 compute units, and the Ryzen AI 9 365 with 12 compute units. Quickly recapping AMD's announcement of the Ryzen 9000 series for desktops, which uses the fully-fledged Zen 5 cores built on the TSMC N4 node, four new models are coming at launch, which is officially set for July 31st. Looking at the specifications of the soon-to-be-launched Ryzen 9000 series, AMD offers four X-series SKUs at launch, which allow for overclocking and come with unlocked CPU multipliers. The flagship SKU, the Ryzen 9 9950X, features 16 cores, a max boost clock of up to 5.7 GHz, 80 MB of cache split between 64 MB for the L3 and 16 MB for the L2 (1 MB per core of L2), and a 170 W TDP. The Ryzen 9 9900X offers 12 cores, a max boost clock of up to 5.6 GHz, 64 MB of L3 cache, and a 120 W TDP. A physical die shot of the AMD Ryzen 9 9950X without the IHS attached Moving down the Ryzen 9000 stack is the Ryzen 7 9700X, which comes with 8 cores, a max boost clock of up to 5.5 GHz, 32 MB of L3 cache, and a 65W TDP. Finally, the entry-level SKU, the Ryzen 5 9600X, has just 6 cores, a max boost clock of up to 5.4 GHz, 32 MB of L3 cache, and a 65 W TDP. In terms of design, the Ryzen 9000 with Zen 5 cores and the Ryzen 7000 with Zen 4 cores aren't too dissimilar; after all, they support the same AM5 motherboards with the LGA1718 CPU socket. At Computex 2024, we confirmed that the Ryzen 9000 series actually uses the same I/O die as the Ryzen 7000 series, which was built using TSMC's 6 nm process. The only real difference between the Ryzen 9000 and Ryzen 7000 chips is that the Ryzen 9000 incorporates the latest Zen 5 cores in place of Zen 4 cores. Although the Ryzen 9000 series comes with the Curve Optimizer, which came along with the Zen 4/Ryzen 7000, AMD is introducing a new overclocking feature called Curve Shaper with the Ryzen 9000 and Zen 5 platform. Looking at AMD's latest overclocking feature specifically designed for Zen 5 and the Ryzen 9000 series, Curve Shaper is essentially an enhanced version of Curve Optimizer, which came with the Ryzen 7000 series. What Curve Shaper is and allows users to do is fine tune voltage curves across 15 different frequency and temperature bands (3 x 5 = 15). This is designed to give users more detailed control over power, CPU VCore, and frequency whilst maintaining stability. Enabling the adjustment of various frequency/voltage bands, which includes three for temperature and five for frequency, allows users to reduce the voltage in stable areas and increase it where necessary. Essentially, AMD's new Curve Shaper feature enables users to push Ryzen 9000 CPUs to their limits while maintaining stability and with an element of power efficiency to boot. As we always say, take performance figures provided by manufacturers, and that goes for any manufacturer with a pinch of salt. AMD has provided some data through in-house testing, which pits the AMD Ryzen 9 9900X against the Intel Core i9-14900K, the Ryzen 7 9700X vs. the Intel Core i7-14700K, and the Ryzen 5 9600X against the Core i5-14600K. Starting with the AMD Ryzen 9 9900X (12C/24T vs. the Intel Core i9-14900K (8P+16E/32T), AMD is claiming some pretty impressive performance gains with its Zen 5 cores. While it only has a modest increase of 2% in UL Procyon Office, AMD is boasting a 41% uplift in HandBrake, which is clearly using some form of AVX-512 workload, as it's a massive jump over the 2% to the 22% gains in other areas such as in Horizon Zero Dawn, while AMD is claiming the Ryzen 9900X is 16% better in Blender. It also shows the strength of AMD's Zen 5 cores in terms of performance, although that remains to be seen when reviews come out. It still looks very promising, though. Moving to the Ryzen 7 9700X (8C/16T) vs. the Core i7-14700K (8P+12E/28T), AMD is claiming victories across a plethora of benchmarks, including 7-Zip, UL Procyon Office, and HandBrake (technically, Intel 14th Gen doesn't officially support AVX-512), which is where the 42% gain in performance is obviously coming from. In gaming, AMD is claiming between 4% and 31% gains in titles, which vary between Borderlands 3 (4%) and Horizon Zero Dawn, which is at the top end with 31%. Given the Ryzen 7 9700X has fewer cores, again, it shows how fast and high-performance AMD's latest Zen 5 cores are. Lastly, we have the Ryzen 5 9600X (6C/12T) vs. the Core i5-14600K (6P+8E/;20T), and we see a similar uplift in the same benchmarks as used in the other comparisons. Again, HandBrake's performance is through the roof at 94%, which clearly uses AVX-512 or some variant within the workload itself. Still, AMD is claiming between 8% and 22% in the other compute benchmarks and uplifts of between 5% and 29% in games, including Hitman 3, Borderlands 3, and F1 2023. Something else AMD is claiming is that they have improved the overall thermal resistance of the CPUs and managed to reduce the operating temperatures with the Ryzen 9000 processors (Zen 5) over the previous Ryzen 7000 (Zen 4) series. In terms of thermal resistance, AMD claims a 15% improvement over Ryzen 7000. At the same time, they also claim they have managed to reduce operating temperatures by 7°C when operating at a like-for-like TDP. Unfortunately, when asked at the Tech Day in LA last week, AMD wouldn't divulge how they managed these improvements, but that's not a surprise. Outside of the flagship Ryzen 9 9950X, AMD has actually lowered the TDP on all the models below it when compared to the previous generation. Meanwhile, the Ryzen 9 7900X has a TDP of 170 W, and the correlating replacement, the Ryzen 9 9900X, has a TDP of just 120 W. This goes for the Ryzen 7 and Ryzen 5 series, too, which has dropped down to a very power-efficient 65 W TDP. Despite operating with a lower TDP, comparing performance like-for-like, the Zen 5 cores perform up to 22% higher on the Ryzen 9 9950X vs. the Ryzen 9 7950X, while even the Ryzen 9 9900X with a 120 W TDP against the previous Ryzen 9 7900X (170 W), performs up to 16% higher. One thing AMD's Zen microarchitecture is known for is its power efficiency, as well as how much performance is retained when operating at a lower wattage than TDP. We did some power scaling testing with the Ryzen 9 7950X against the Intel Core i9-13900K, and we were impressed with how much performance the Zen 4 cores managed to retain despite operating at much lower than stock in relation to TDP. As we've known since the AM5 platform launched alongside the Ryzen 7000 series chips back in 2022, AMD's Zen 5 chips would be supported on the current AM5 chipset available, including X670E, X670, B650E, and B650 motherboards. While there is a new wave of AM5 motherboards coming (when is a question rather than an answer for now), which are denoted as the 800-series (X870E/X870/B850/B840), with some motherboard vendors showing models off back at Computex 2024 in June. There's been some speculation about when these will launch; as for now, there is no official date for these 800-series motherboards to launch. This means users looking to buy a Ryzen 9000 series processor at launch will either have to use their current AM5 motherboard or buy a 600-series board. In reality, both the 600-series and 800-series AM5 motherboards use the same Promotory 21 chipset (PROM21), which is made by ASMedia. The only real difference between the chipsets is that motherboard vendors can use the latest controllers, such as Wi-Fi 7. Otherwise, there shouldn't be any gains in performance when opting for an 800-series board instead of a 600-series motherboard. AMD has, however, committed that the AM5 platform will be one of longevity, with the AM5 platform being the desktop platform for desktop Ryzen CPUs until 2027. Over the next couple of pages, we will be going over some of the technical details AMD disclosed during its Tech Day 2024 in LA, which is primarily around the AMD Ryzen AI 300 series SoCs. This includes the new AMD Xilinix-built XDNA 2 NPU for AI, as well as AMD's updated RDNA 3.5-based integrated graphics.
[4]
AMD deep-dives Zen 5 Ryzen 9000 and 'Strix Point' CPU, RDNA 3.5 GPU, and XDNA 2 architectures
Page 3: AMD Zen 5 Ryzen AI 300 'Strix Point' Gaming and Productivity Benchmarks AMD revealed the deep-dive details of its Zen 5 Ryzen 9000 'Granite Ridge' and Ryzen AI 300 series 'Strix Point' chips at its Zen 5 Tech Day, revealing the deep-dive details about the company's next-generation silicon. AMD has slowly teased the details of its Zen 5 processor, but today, we can share more in-depth AMD benchmarks of desktop and mobile Ryzen processors against competing Intel, Apple, and Qualcomm processors. AMD also unveiled a new series of motherboards and gave deep dives into the Zen 5 CPU, RDNA 3.5 GPU, and XDNA 2 NPU microarchitectures, which we'll cover in full below, as it speeds towards an on-time launch of its desktop and mobile processors this month. AMD's Zen 5-powered chips come to market bearing a new microarchitecture bristling with improvements that yield an average of a 16% increase in instructions per cycle (IPC) throughput. As you can see in the roadmap above, the Zen 5 architecture will span both the 4nm (N4P) and 3nm process nodes over the course of its life. This means that, much like the company's other generations of microarchitectures, we can expect second-gen models with even more performance to arrive on a smaller process node. Let's dive into the details of the processors and benchmarks, then move on to the architectures. We've already covered the Ryzen 9000 series product stack, which you can see here. As a reminder, all models except the flagship have lower TDPs than their predecessors yet still deliver impressive generational performance gains. AMD still hasn't shared pricing, but we're told an announcement will come before Ryzen 9000's July 31 launch date. All the Ryzen 9000 models drop into existing AM5 platforms and also support the upcoming 800-series chipset family, which we'll cover below. As such, these chips support many of the same features as before, including PCIe 5.0 and DDR5-5600 memory. As with all vendor-provided benchmarks, take these with a grain of salt (test notes at the end of the album). AMD has previously shared benchmarks showing the flagship 16-core 32-thread Ryzen 9 9950X against Intel's Core 9-14900K with an average of a 21% advantage in productivity and 11% in gaming. Notably, a portion of the productivity gains come from Ryzen 9000's doubled performance in AVX-512 workloads, but the uplift in other types of workloads is also impressive across the board. AMD's 12-core 24-thread Ryzen 9 9900X is equally impressive in its comparison with the 14900K, notching anywhere from a 2% to 41% lead in a range of productivity applications. It also takes from a 4% to 22% lead over the 14900K in a range of game titles. All told, these figures work out to a ~10% lead in both productivity and gaming over Intel's flagship (geomean). The Ryzen 9 9900X's win is impressive, especially given that this 120/162W chip (a 68W reduction in peak from prior gen) faces a 125/253W Intel chip known for easily reaching 350W with power limits removed. Of course, Intel's Arrow Lake chips will arrive later this year to challenge this power/performance lead. The eight-core 16-thread Ryzen 7 9700X faces off with the Core i7-14700K in the benchmarks. AMD's advantage in productivity work becomes more significant despite the fact we're again looking at a power-sipping AMD chip (65/88W) versus a 125/253W Intel model. Overall, AMD claims a ~13% lead in productivity apps and a 10% lead in gaming. The six-core 12-thread Ryzen 5 9600X contends with the Core i5-14600K (88W vs 181W peak power draw, respectively) and takes a 22% lead in productivity performance (15% without HandBrake outlier) and an 11% lead in gaming. That represents a strong performance gain at the bottom of the Zen 5 CPU stack. AMD also included AI benchmarks that highlight the advantages of its support for VNNI (an AI-optimized instruction) for running the Llama and Mistral Large Language Models (LLMs) on Zen 5 processors. As you can see, the Ryzen 9 9900X easily beats the 14900K, but we don't think this is a very pertinent comparison -- most would simply run these models on a GPU. AMD also showcased its PCIe lane advantage over Intel's current chips, which results in more performance in running an AI model on multiple GPUs while maintaining the full PCIe 5.0 speed for the attached SSD. AMD wins in these AI workloads, but we don't see these as relevant workloads for the majority of desktop PC users. AMD underscored Zen 5's leap forward in gaming performance by comparing the 9700X to the fastest Zen 3 gaming chip, the Ryzen 7 5800X3D. Long after its release for the AM4 platform, the Zen 3-powered 5800X3D has maintained a significant lead over AMD's newer standard Zen 4 processors -- to this day, it beats the fastest standard Zen 4 chip by roughly 8% in gaming. Today, you'd have to step up to a pricey Zen 5 X3D model to beat the 5800X3D in gaming, but AMD claims Zen 5 Ryzen 7 9700X is roughly 12% faster in 1080p gaming than the 5800X3D, this despite its 40W lower power draw. Notably, AMD avoided comparing its new chips to its own previous-gen Zen 4 models but says the 9700X would beat the Ryzen 7 7800X3D, currently the best gaming CPU on the market, by a "couple percentage points." These results imply the Ryzen 7 9700X delivers a roughly ~20% gain in gaming performance over the previous-gen Ryzen 7 7700X. AMD's engineers confirmed our suspicion that the Zen 5 processors use TSMC's N4P node, a marked improvement over Zen 4's 5nm node. This is important because TSMC's standard 4nm node (N4) offers much less improvement over 5nm, whereas N4P delivers among the most generational improvements of the 4nm lineup (TSMC's 4nm node is officially part of its 5nm family). TSMC says the N4P node offers 11% more performance, 22% higher power efficiency, and 6% higher transistor density (optical shrink) than the N5 (5nm) node. Additionally, TSMC says this process uses 6% fewer masks for production due to an increased number of EUV layers, implying that it's more cost-effective. AMD has also designed the Zen 5 process for the TSMC 3nm node, but the company hasn't given any details on the node or the launch date for those chips. We'll cover power efficiency, overclocking, motherboards, Ryzen AI 300, and the architectures on the following pages.
[5]
AMD Confirms Ryzen 9000 Series Specs And Launch Date for July 31st, an overview
Zen 5 architecture and specification The Ryzen 7 9700X is noted to outperform the first-generation X3D SKU, the Ryzen 7 5800X, with an average performance increase of 12%. This claim is supported by a slide from AMD comparing the two models. However, it is also acknowledged that the Ryzen 7 9700X may not match the gaming performance of the Ryzen 7 7800X3D, based on statements from AMD's Don Waligroski. AMD's launch presentation includes a series-to-series comparison, demonstrating an 11% to 22% performance improvement from the previous generation while simultaneously lowering the TDP for three of the four new SKUs. This reflects AMD's ongoing commitment to enhancing performance efficiency in their processor lineup. The Zen 5 architecture marks a significant advancement in AMD's Ryzen 9000 series processors for desktops. The structural aspects such as the socket and the internal layout remain consistent, featuring an unchanged I/O die and one or two CPU core dies. Originally announced at Computex, AMD has confirmed that these processors will be available starting July 31. AMD Ryzen 9000 Series Overview ProcessorArchitectureCores/ThreadsBoost ClockCache (L2+L3)TDPRyzen 9 9950XZen 5 (TSMC 4nm)16C/32TUp to 5.7GHz80MB170WRyzen 9 9900XZen 5 (TSMC 4nm)12C/24TUp to 5.6GHz76MB120WRyzen 7 9700XZen 5 (TSMC 4nm)8C/16TUp to 5.5GHz40MB65WRyzen 5 9600XZen 5 (TSMC 4nm)6C/12TUp to 5.4GHz38MB65W AMD has provided detailed performance benchmarks for the new AM5 CPUs, comparing them against Intel's 14th generation Core processors. Notably, AMD's comparisons focus on productivity and content creation tasks as well as gaming performance. The 12-core Ryzen 9 9900X is highlighted against Intel's Core i9 14900K. In productivity and content creation tasks, the Ryzen 9 9900X shows performance improvements ranging from 2% to 41%, with a notable 41% improvement in Handbrake due to the new AVX512 instructions in Zen 5. Other tasks like Photoshop and Blender see improvements of 11% and 16%, respectively.In gaming, the Ryzen 9 9900X outperforms the Core i9 14900K by 4% in Borderlands 3 and by 22% in Horizon Zero Dawn. For the mid-range and lower-end models, the performance differences are even more pronounced. The 8-core Ryzen 7 9700X outperforms Intel's Core i7 14700K by 4% to 42% in productivity tasks and by 4% to 31% in gaming. The 6-core Ryzen 5 9600X demonstrates significant gains over the Core i5 14600K, with productivity performance improvements ranging from 8% to 94% and gaming performance gains between 5% and 29%. These benchmarks highlight the Zen 5 architecture's efficiency and its capability to leverage advanced instruction sets like AVX512, which contributes to higher performance in certain applications. AMD's strategic choice to compare the Ryzen 9 9900X against Intel's Core i9 14900K, rather than the top-tier Ryzen 9 9950X, indicates a confident positioning of their processors within the market segments. Technical Overview and Market Position The Ryzen 9000 series processors utilize TSMC's 4nm process technology, enabling high core counts and elevated boost clocks while maintaining manageable power consumption. The Ryzen 9 9950X, with 16 cores and a 5.7GHz boost clock, represents the pinnacle of the lineup, targeting high-end desktop users who require substantial processing power for demanding applications. The consistent socket and layout ensure compatibility with existing AM5 infrastructure, facilitating upgrades for current users. The inclusion of substantial L2 and L3 cache across the lineup enhances performance, particularly in data-intensive tasks. The announced AMD Ryzen 9000 series contains four (initial) models, all of which feature lower Thermal Design Power (TDP) ratings compared to the Ryzen 7000 series. Notably, only the Ryzen 9 9950X maintains the same 170W TDP as its predecessor. The 12-core Ryzen 9 9900X reduces its TDP from 170W to 120W, while the 6-core and 8-core models see a reduction from 105W to 65W. Despite the lower power consumption, the new Ryzen 9000 models demonstrate improved performance. For example, the Ryzen 9 9700X, when compared to the Ryzen 7 5800X3D for socket AM4, shows an average performance increase of 12% across sixteen games, while consuming less power. This signifies that AMD has effectively surpassed the performance gains provided by 3D V-Cache technology within two years through advancements in architecture, clock speeds, and efficiency. Lower Temperatures The Ryzen 9000 series CPUs are also designed to operate at lower temperatures than their predecessors. The previous generation CPUs, even with efficient cooling solutions, often exceeded 90 degrees Celsius under moderate load. The new series addresses heat production more effectively by optimizing the chip layout and enhancing the placement of temperature sensors, which reduces thermal resistance by 15%. Consequently, this results in a 7 degrees Celsius reduction in operating temperature at the same power consumption levels. Zen 5 Architecture AMD staff has detailed the enhancements introduced in the Zen 5 architecture. What initially appears as a minor update actually includes significant revisions that set the stage for future generations of AMD processors. Despite Intel's decision to discontinue Simultaneous Multi-Threading (SMT) in their P-Cores with the upcoming Lunar Lake and Arrow Lake architectures, AMD reaffirms the importance of SMT in their processors. AMD's implementation of SMT continues to be a core feature, offering substantial performance improvements with minimal additional space usage -- typically 5 to 10 percent increase in die area resulting in 20 to 50 percent performance gains. While SMT may not benefit every application, it remains crucial for achieving optimal performance, providing better performance per dollar spent. Enriched Front-End Capabilities Zen 5 introduces a dual decoder path in the front end, alongside improved TAGE branch prediction. This branch prediction is more accurate, reliable, and operates with low latency, processing more predictions per cycle. To handle the increased predictions, a dual port has been integrated into the micro-op cache and decoder. This results in higher performance compared to Zen 4, with more instructions per clock delivered to the back end through a wider interface. The back end of Zen 5 now features an optimized dispatch mechanism that is eight instructions wide, allowing for the processing of more commands simultaneously. Unified schedulers contribute to this enhanced throughput. Additionally, the "execution window" has been expanded by 40 percent to accommodate 448 instructions, maintaining high performance and energy efficiency. The L2 cache has been increased by 50 percent to 48 KB, without compromising latency, which remains at 4 loads per cycle. This improvement supports higher data transfer rates, crucial for the new AVX-512 unit. The 512-bit AI Datapath benefits from doubled bandwidth from both the L1 and L2 caches. The AVX-512 unit, now operating at a full clock speed of 5.7 GHz, enhances performance in areas such as gaming, high-performance computing (HPC), and content creation. It also supports splitting into two 256-bit paths, providing flexibility depending on the application requirements. The Floating Point ADD (FADD) operation has been optimized to complete in 2 cycles instead of 3, further boosting the Floating Point Unit (FPU) performance. Significant IPC Gains The cumulative effect of these optimizations and expansions results in a 16 percent increase in instructions per clock (IPC). The broader execution pipeline contributes 34 percent to this performance gain, with the decoder and op cache improvements accounting for 27 percent each. Enhanced bandwidth further adds to this growth. Specific benchmarks, such as Geekbench's AES-XTS test, showcase a 35 percent increase in single-core performance at the same clock speed, pushing overall Geekbench scores higher. Browser-based applications exhibit around 12 percent IPC growth compared to Zen 4, demonstrating widespread improvements across different workloads. AMD Ryzen 9000 Series Memory Overclocking and Curve Shaper Enhancements This latest generation of AMD processors allows users to reach memory speeds as high as DDR5-8000 by implementing a 1:2 ratio between the memory controller speed and the memory speed itself. This represents an upgrade from the DDR5-5200 support in the Ryzen 7000 series to DDR5-5600, aligning with the memory speeds supported by Intel's current processors. AMD has introduced a convenient tool for dynamic memory overclocking within Windows through its Ryzen Master software. This software includes a unique feature with a simple button that toggles between two pre-trained memory profiles. One profile adheres to the Jedec standard, ensuring stability for critical tasks, while the other, known as the EXPO profile, is tailored for optimal gaming performance. In addition to memory tuning, AMD has rolled out Curve Shaper, a novel feature accessible via the BIOS on AM5 motherboards compatible with Ryzen 9000 CPUs. Building on the existing Curve Optimizer, Curve Shaper enhances the ability to fine-tune processor performance. It does this by allowing adjustments to the voltage-frequency (v/f) curve, enabling users to set specific undervolt offsets for different combinations of clock speeds and temperatures. This capability is designed to reduce power consumption, which in turn gives AMD's Precision Boost Overdrive (PBO) technology more flexibility to boost clock speeds and improve overall system performance. The Ryzen 9000 CPUs also benefit from a lower thermal design power (TDP), which facilitates more significant performance improvements when using PBO compared to previous models. For instance, activating PBO on the 9600X or 9900X models can result in up to a 6% increase in performance, while the 9700X might see a performance boost of up to 15%. However, the high-end 9950X model, which already operates at the peak allowable TDP, does not officially support PBO. Despite this, advanced users still have the option to manually raise power limits to squeeze out additional performance. Preliminary conclusion The Granite Ridge series, Ryzen 9000 for desktops, marks a significant step forward from the previous Ryzen 7000 series. This new lineup boasts improvements like faster processing speeds, better energy efficiency, and enhanced overall performance due to an optimized design and an increased frequency range. These upgrades make the Ryzen 9000 series a strong competitor in the computer processor (CPU) market. One of the standout features of the Ryzen 9000 series is its enhanced efficiency. These CPUs manage energy better, which means they use less power while delivering higher performance. This improvement is vital in both everyday and professional settings where getting the most out of each watt of power is important. The top models of the Ryzen 9000 series benefit greatly from these design improvements, achieving higher speeds that significantly boost performance compared to earlier models. The release of the Ryzen 9000 series comes at a strategic time and could affect Intel's position in the market, especially considering some challenges Intel faces with its K series CPUs. With Intel's new Arrow Lake processors delayed until October, AMD has a chance to capture more of the market. Intel's existing Core series also faces performance issues, which could lead more consumers to choose the newer and more efficient Ryzen 9000 series. A thorough review and benchmark testing of the Ryzen 9000 series will be necessary to confirm these performance benefits. The official launch is set for July 31st, a key date when AMD will announce final prices and detailed specifications.
[6]
AMD Ryzen 9000 "Zen 5" Desktop CPUs Officially Launch on 31st July: Lower Power & Cooler, New Overclocking Features, 9950X, 9900X, 9700X, 9600X Performance & 3D V-Cache Comparisons
AMD has officially confirmed that its next-gen Ryzen 9000 "Zen 5" Desktop CPUs will launch on the 31st of July. The company has also shared new performance metrics for all four chips including the Ryzen 9 9950X, Ryzen 9 9900X, Ryzen 7 9700X, and Ryzen 5 9600X while detailing new features and support. AMD Ryzen 9000 "Zen 5" Desktop CPUs Headed For Retail Launch On The 31st of July: New Ryzen 9 9950X, Ryzen 9 9900X, Ryzen 7 9700X & Ryzen 5 9600X Performance Benchmarks Shared It's been more than a year since AMD first introduced its AM5 platform alongside a brand new CPU family which we all know as Ryzen 7000 "Raphael". These chips brought in some impressive gains on the single and multi-threaded side of things while enabling higher efficiency than Intel's 13th & 14th Gen CPUs. The company soon followed the launch with its new 3D V-Cache parts, the Ryzen 7000X3D family, which extended the SKUs range in the 12 and 16-core territory, offering another level of uplift for gamers. It's been more than a year since the launch of these parts and all eyes are on AMD's next-gen family, the Ryzen 9000 "Granite Ridge" Desktop CPUs. AMD Ryzen 9000 'Zen 5' Desktop CPU Expected Features: The AMD Ryzen 9000 Desktop CPU family, codenamed Granite Ridge, is based on the latest Zen 5 core architecture and targets high-performance Gaming PCs. The family is bringing a range of new features with the Zen 5 cores being the highlight while being supported on existing and upcoming AM5 platforms with improved I/O and DDR5 memory support. Ryzen 9000 CPU Chip Shot: So before we talk about the Ryzen 9000 Desktop CPU family, we first take a glance at the new and improved Zen 5 core architecture which offers: In several aspects, the AMD Zen 5 core architecture offers up to a 2x increase such as the Instruction Bandwidth for the front-end instructions, data bandwidth (L2 to L1 and L1 to FP), and AI perf (AI & AVX512 Throughput). The Zen 5 CPU cores (CCDs) are based on the TSMC 4nm process node while the IOD is based on the TSMC 6nm process node. They come in the same peak config of 2 CCDs and 1 IOD on consumer platforms. These new changes have resulted in a significant IPC uplift averaging 16% versus Zen 4. In certain cases, the Zen 5 core can reach up to +35% IPC such as (Geekbench 5.4 AES XTS) and another key area that has been improved upon is the L2 and L3 cache structuring. AMD also made some significant changes to the IMC which now result in much higher EXPO/XMP memory support and the Infinity Fabric clock has been raised from 2000 MHz on Zen 4 to 2400 MHz on Zen 5 with DDR5-5600 speeds natively supported. So as for the lineup, the AMD Ryzen 9000 "Granite Ridge" family is composed of four SKUs to start. These include the Ryzen 9 9950X, Ryzen 9 9900X, Ryzen 7 9700X and the Ryzen 5 9600X. Let's take a look at the specifications of these chips. The AMD Ryzen 9 9950X "100-000001277" CPU is the flagship offering with two Zen 5 CCDs and a single IOD. The CPU offers 16 cores, 32 threads, a base clock of 4.3 GHz, and a max boost clock of up to 5.7 GHz. It comes with 80 MB of cache (64 MB L3 + 16 MB L2) and has a TDP of 170W. Now in terms of clock speed, while the boost clock is identical to the Ryzen 9 7950X, the base clock is slightly dialed down by -200 MHz but we can expect a lot of efficiency coming out of this flagship product, especially in terms of multi-threaded performance. The AMD Ryzen 9 9950X also packs 3 chiplets, two of which are the Zen 5 CCDs, each with 8 cores, 16 threads, and 32 MB of L3 cache while the third chiplet is the IO die or IOD which is based on the TSMC 6nm process node is nearly identical to the IOD we saw on the Ryzen 7000 chips but with a few minor adjustments. The IOD retains the same 2 compute units based on the RDNA 2 graphics architecture and comes clocked at 2200 MHz. The CPU comes with full support for overclocking on the CPU, & memory, & has all tech support for PBO2, Curve Optimizer, and support within the Ryzen Master utility. AMD also recommends a liquid cooler for optimal performance with this behemoth. Next, we have the AMD Ryzen 9 9900X "100-000000662" CPU, the 12-core and 24-thread variant. This chip has a base clock of 4.4 GHz and a boost clock of up to 5.6 GHz with a 76 MB cache. The interesting thing with this chip is that it has a TDP of 120W much lower than the 170W of Ryzen 9 7900X chips. Once again, while the chip retains the same boost clock speed as the Ryzen 9 7900X, the base clock sees a -300 MHz reduction which is once again to fit within the 120W power limit but once again, the 12-core should be able to boast some nice multi-threaded capabilities. Following are the TDP comparisons between the four chips of this generation with the prior one: For the more mainstream users, AMD has the Ryzen 7 9700X "100-000001404" which is an 8-core CPU with 16 threads, a base clock of 3.8 GHz, a boost clock of up to 5.5 GHz, 40 MB of cache (1 CCD with 32 MB L3 + 8 MB L2), and a low TDP of 65W which is also much lower than the 105W TDP featured on its predecessor, the Ryzen 7 7700X. The Ryzen 7 7700X has a 105W part while the AMD Ryzen 7 9700X has a 65W part which is almost half its TDP and that means that the clocks do take a slight bit of hit with the base clock being -700 MHz lower but the boost clock is rated at +100 MHz. For the 65W SKUs, AMD recommends using a premium air cooler for optimal performance but even with such a low TDP, AMD is making sure that enthusiasts don't miss out on the OC and tuning features as the Ryzen 7 and Ryzen 5 chips come with all the goodies as the higher-end Ryzen 9 SKUs based on the Zen 5 core architecture. Lastly, the AMD Ryzen 5 9600X "100-000001405" CPU features 6 cores and 12 threads. This chip has a base clock of 3.9 GHz maximum boost clock of up to 5.40 GHz and packs 38 MB cache and the same 65W TDP. Only the AMD Ryzen 7 and Ryzen 5 CPUs see a clock bump over their predecessor while the Ryzen 9 chips retain the same clock speeds. The AMD Ryzen 5 9600X sees a 100 MHz boost clock improvement over its predecessor, the Ryzen 7 7600X but comes with a lower base clock of -800 MHz. AMD Ryzen 9000 "Granite Ridge" Desktop CPUs Specs: AMD also shares a few performance figures for its upcoming Ryzen 9000 "Zen 5" Desktop CPUs. The Ryzen 9 9950X has been compared against the Intel Core i9-14900K. It offers up to a +56% leap in productivity performance (+29.8% average uplift) and up to a +23% leap in gaming performance (+13.2% average uplift), a significant jump over Intel's flagship CPU. The performance was done on an AMD Radeon RX 7900 XTX GPU so it will be interesting to see how these chips fare with the RTX 40 series which loves extra CPU performance. In gaming, the Ryzen 9 9950X should end up considerably faster than its Ryzen 7000 predecessors but we can expect Zen 5 3D V-Cache to further take this leap forward. AMD states that the improvement in game performance comes from the reduced latencies associated with the chip. Also, it should be mentioned that AMD has also clarified that while Zen 5 is much faster than its older Zen 4 parts and the competition, Zen 4 3D V-Cache SKUs are likely going to remain the king but the difference between the last-gen X3D and the newest Non-X3D parts will be thinner this time which is great to hear since you can expect 3D V-Cache performance and faster multi-threaded capabilities out of these new Zen 5 chips. Once again, the Ryzen 9000 3D V-Cache CPUs are also expected to launch later this year so for those who are only aiming for faster gaming numbers, it might be better to wait a bit more. AMD also recently updated its 3D V-Cache optimizer suite in the latest Ryzen chipset drivers ahead of the next-gen launch so it looks like the groundwork for them is already being laid out. AMD also states that the Ryzen 9000 "Zen 5" Desktop CPUs make for the ultimate content creator AI platform with a 100% uplift in graphics bandwidth thanks to dedicated PCIe 5.0 lanes which the current Intel lineup lacks and a 20% AI acceleration in LLMs such as Mistral. Do note that AMD isn't incorporating an NPU on its Ryzen 9000 Desktop CPUs but the new architectural improvements lead to better AI performance than the competition. Ryzen 9000 CPU Package: No pricing details are currently shared, but we can expect the Ryzen 9000 CPUs to cost around the same ballpark as the Ryzen 7000 series. A few online listings suggest that the Ryzen 9 9950X might cost close to $600 US while the rest of the SKUs should be priced relatively around the same range as their predecessors as mentioned above. Canada Computers has listed the chip for CAD 839.00 which is lower than the CAD 939.00 MSRP of the AMD Ryzen 9 7950X (the chip is currently listed for CAD 719.00 which converts to $522 US and we have seen it available under $500 US recently). The CAD 839.00 pricing converts to $610 US which is $90 US lower than the MSRP of the Ryzen 9 7950X which cost $699 US at launch and was replaced by the Ryzen 9 7950X3D at the same price but that chip is currently also available close to $500 US. Besides Canada Computers, Bermorzone, a retailer based in the Philippines has also listed the preliminary prices for the entire Ryzen 9000 "Zen 5" Desktop CPU lineup. The prices are as follows: Meanwhile, AMD confirms that the CPUs will launch in July and retail listings have pointed out a 31st July retail launch which is very close so we can expect the review spree to begin a few days before that. Overall, the Ryzen 9000 CPUs based on the new Zen 5 core architecture look very impressive and we can't wait to try them out ourselves once they are available.
[7]
AMD spills the beans on Zen 5's 16% IPC gains
Fatter front end and execution engine meets a higher bandwidth backend and a true AVX-512 implementation With the first Zen 5 CPUs and SoCs set to ship later this month, AMD offered a closer look at the architectural improvements underpinning the platform's 16 percent uplift in instructions per clock (IPC) during its Tech Day event in LA last week. Announced at Computex in June, the House of Zen's 9000-series follows a similar mold as past Ryzen desktop chips, with your choice of six, eight, 12, or 16 cores and up to 64MB of L3 cache on the top SKUs. These same cores are at the heart of Ryzen AI 300 - AMD's answer to Qualcomm's X-chips for AI PCs. Codenamed Strix Point, the notebook SoC boasts 12 cores - four Zen 5 and eight Zen 5c - along with a 50 TOPS NPU based on the chip shop's XNDA 2 architecture. But while core count, cache, and power all play a role in processor performance improvements, a big chunk of AMD's gains are down to architectural tweaks to the Zen 5 core. Combined with a node shrink to TSMC's 4nm process tech these low-level tweaks to the core contribute to anywhere from a ten to 35 percent lift in performance. In AMD's internal benchmarks, anyway. Among the biggest improvements to the Zen 5 core were made to its front end, and account for roughly 39 percent of the claimed IPC uplift, according to AMD CTO Mark Papermaster. Specifically, AMD has widened the front end to allow for more branch predictions per cycle - a major contributor to performance on modern CPU cores - and implemented a dual-decode pipeline along with i-cache and op-cache improvements to curb latency and boost bandwidth. This wider front end is paired with a larger integer execution engine that now supports up to eight instructions - dispatch and retire - across each cycle compared to six on Zen 4. AMD also boosted the number of arithmetic logic units (ALUs) from four to six and implemented a more unified scheduler to make execution more efficient. To mitigate the potential for an increase in mispredictions, AMD expanded Zen 5's execution window by about 40 percent. "What this does is it's going to bring new levels of performance because it's married with those frontend advancements ... it allows us to consume those instructions and take advantage of the improved predictions coming at us through the pipeline," Papermaster explained. The remaining 27 percent of Zen 5's IPC gains can be attributed to increased data bandwidth on the back end. Compared to last gen, AMD has boosted the L1 data cache from 32KB to 48KB and doubled the maximum bandwidth to the L1 and floating point unit - more on that last one in a bit. The key takeaway is that AMD hasn't just juiced the branch predictor or execution engine, it's attempted to balance each element of the core to avoid bottlenecks or added latency. The result is a core that can chew through more instructions faster than prior generations. The biggest IPC gains were seen in workloads that used AMD's AVX-512 vector extensions, which have been reworked this generation to feature a full 512-bit data path as opposed to the "double-pumped" 256-bit approach we saw in Zen 4 in 2022. The one slight exception to all of this is in mobile chips like Strix Point, where AMD chose to stick with a double-pumped AVX-512 implementation - likely to optimize for performance per watt and thermal constraints. While Papermaster claims Zen 5 can now run full 512-bit AVX workloads without frequency penalties, these instructions historically have run very hot. This isn't as big a deal on the desktop or in workstations, but is less than ideal for notebooks with limited thermal headroom. Unsurprisingly, Papermaster was quick to highlight the vector extensions' potential to accelerate AI workloads running on the CPU. And in machine learning, AMD claims a 32 percent increase in single core performance over Zen 4. Particularly with its mobile chips, AMD has emphasized the concept of running machine learning across each domain - not just on the integrated GPU or NPU. Throughout all of AMD's Tech Day disclosures, it was clear that its Zen 5 and compact Zen 5c cores remain architecturally identical in terms of functionality - the latter trading clocks for die area, as the name would suggest. The first Zen 5 cores are set to hit the market on July 31, but we'll have to wait a little longer for them to arrive in the datacenter. There's a lot we still don't know about AMD's Turin generation of Epycs. However, at Computex, we did find out that rumors of another core count bump were true. With 5th-gen Epyc, AMD is set to juice core count by 50 percent over Epyc 4. The Zen 5c part - the spiritual successor to Bergamo - is expected to use TSMC's 3nm node and will feature 192 cores and 384 threads. Meanwhile, the frequency-optimized Turin parts look like they'll top out at 128 cores and 256 threads. Curiously, AMD doesn't appear to be differentiating Turin from what we're calling "Turin-c" in its marketing. This isn't all that surprising, as the only difference between the two - at least at the core level - comes down to the frequency-voltage curve. The smaller Zen 5c cores trade lower frequencies for higher density, but are otherwise identical feature-wise. We expect there may be a few more surprises in store for the Turin launch, which is due sometime in the second half of the year. Zen 5 comes at a time when AMD is facing its stiffest competition in years, as Qualcomm arrives on the scene with a potent Arm-compatible notebook chip, and Intel readies a slew of revamped CPUs across its Xeon and Core product families. Within the client space, Qualcomm's 45-TOPS NPU has given it an early lead in Microsoft's Copilot+ AI PC push. AMD's Strix Point looks to remedy this, but will have to contend with Intel's recently disclosed Lunar Lake SoCs, which are due out later in Q3. It's a similar story in the datacenter, where things have become particularly interesting with the launch of Intel's 144-core Sierra Forest and impending 128-core Granite Rapids Xeon 6 platforms. In addition to an architectural overhaul and shift to a new chiplet architecture, these chips also make the move to the Intel 3 process node. At the same time, more cloud providers than ever are leaning on custom Arm-based silicon for their hyperscale workloads. AWS's Graviton is now in its fourth generation and generally available, while Microsoft and Google have both begun deploying their own Arm cores. Whether or not AMD's IPC gains and higher core counts in the datacenter will help it win share in this competitive arena, we'll have to wait and see. In any case, we're told work on Zen 6 and Zen 6c is already underway - when we'll see it, your guess is as good as ours. ®
[8]
Hey, AMD: It's time for Ryzen's rebel moment
Yes, the hype is all about AI and low power. But that doesn't mean AMD should be afraid to put the pedal down. The PC industry is currently obsessed with power efficiency, long battery life, and AI. But who's going to stand up for the people who want raw performance and don't care about anything else? That performance advocate could be you, AMD. You've got what it takes. So dig out that bomber jacket, put on those aviator shades, and lean in. Be the rebel chip vendor we want you to be. This isn't a story about AMD executives smashing a server with a sledgehammer, or spray-painting graffiti all over Intel's headquarters. In fact, at its recent Tech Day, AMD spent two days with reporters talking over PowerPoint presentations about IPC uplift, data paths, and the time to first token. But the subtext was how well positioned both the Ryzen 9000 and the Ryzen AI 300 are to take over the PC market. There were two clear messages from AMD: First, AMD's Ryzen 9000 (Granite Ridge) and its Ryzen AI 300 (Strix Point) are nearly here -- the latter will ship July 28, according to retailers and manufacturers. Intel's Lunar Lake is decidedly not so imminent, giving AMD an advantage. Second, AMD's chips will deliver performance that is significantly above what Intel will offer, and without the compatibility issues that have hindered Windows on Arm laptops from Qualcomm's Snapdragon X Elite. Unfortunately, we still don't know the prices of the Ryzen 9000 processors, even though those chips will ship on July 31, AMD said. AMD executives began with the abstract -- the Zen processor architecture itself -- then drilled down to the two chips themselves, and from there on to the tools that users can take advantage of to tweak performance further. Both the Ryzen 9000 and AI 300 are based upon AMD's latest Zen 5 architecture, the fifth iteration since AMD originally launched Zen in 2017. Mark Papermaster, AMD's chief technical officer, said that performance is the overarching goal, with the general Zen 5 architecture achieving on average 16 percent more IPC (instructions per clock) performance than its predecessor, the short-lived "Hawk Point" CPU inside December's Ryzen 8000 chip. He also confirmed that AMD does plan an eventual Zen 5c core, as expected but not previously confirmed. "What we said at the time [in 2017] was that...we're going to come out, consistently, with bringing more performance to x86 CPUs," Papermaster said. "We're going to simply provide outright leadership -- that was the goal that we set and that's what we've done. And what I can tell you is that there will be no letups, and Zen 5 will not disappoint you with the kind of performance improvements that we've brought and we won't let up going forward. We're doubling down on Zen 5 and what you're going to see is that it really represents a huge leap forward. And in fact, it's going to be a pedestal that we're going to build upon the next several generations." Papermaster supplied some internal details of the Zen 5 architecture, such as a 512-bit datapath for processing AVX-512 instructions. The integrated RDNA 3.5 graphics engine -- which AMD improved after a collaboration with Samsung in the mobile space -- delivers 32 percent faster performance in 3DMark's Time Spy benchmark than the Ryzen 8000. The chip also includes what Papermaster called a "breakthrough" improvement of its math acceleration unit, which delivers a 32 percent improvement in machine learning for AI applications. Zen 5 will use both 4nm (Strix Point) and 3nm technology, Papermaster said, leaving open the question of when Zen 6 will ship and on what process technology. AMD's Ryzen AI 300, meanwhile includes two CCD core clusters and "enhanced boost sensitivity" that apparently will allow them to transition quickly into a boost state, increasing performance. Papermaster also reminded the audience that the Ryzen 9700X is on average 12 percent faster in gaming than the cache-laden 105W gaming monster Ryzen 5800X3D, which debuted just two years ago in 2022. Joe Macri, AMD's chief technical officer of the client division, said that the AM5 socket that the 9700X fits into was designed to live "six, seven years -- four generations of CPU cores" after its launch in September 2022. "So many teams come together to build the same platform and ensure that longevity so that you guys get the ultimate experiment," he said. "You don't have to go change the motherboard every other generation, like some other folks." AMD even pulled off what it claimed to be a world record in overclocking, achieving a Cinebench R20 multithreaded score of 55,046 on a 5.7GHz (stock) Ryzen 9 9950X overclocked to 6.494GHz using liquid nitrogen. (The chip hit 6.698GHz on a later attempt, but the PC crashed.) While you may not have a vat of liquid nitrogen in your garage, you will have access to new AMD software to push your Ryzen even more aggressively than before. Part of the overall performance boost you can expect is an addition to AMD's overclocking tools. AMD already has a feature called Precision Boost Overdrive, which is the simplest way to get more out of your system. PBO's "one-click overclocking" allows you to get 15 percent more performance out of the Ryzen 7 9700X, executives said, with 6 percent boosts on the 9600X and 9900X. Beginning with the Ryzen 7000, AMD implemented a feature called Curve Optimizer that allowed users to tweak the settings within the Ryzen Master utility software, specifically with regard to Precision Boost Overdrive and the power management framework. While some users prefer to overclock their systems, consuming more power, others prefer to undervolt their PC, trying to maintain their performance while using less power and lowering the system temperature. Curve Optimizer was a way to "shift" the curve by varying the voltage as the CPU went up and down in frequency. Curve Shaper, the latest tool, offers users more flexibility. Essentially, a user will be able to take the tool and set their own steps depending upon temperature and frequency. (Users will have three temperature and five frequency bands to work with.) The process will be manual, and users will have to come up with their own adjustments. However, AMD executives said they imagine that the community will develop and share their own configurations. "This allows users to further reduce voltage from bands that are stable, and add voltage for bands where instabilities are observed," AMD said. (That's important, given that a CPU and a PC can crash if it doesn't receive enough power.) On the memory side, AMD's AGESA base firmware supports up to overclocked DDR5-8000, JEDEC support for DDR5-5600, and with memory overclocking enabled for all of AMD's Ryzen chipsets. In AI, AMD too is a leader. (For now, anyway -- things change quickly in the AI space.) But the problem isn't really increasing performance -- it's managing it. AMD's Strix Point NPU supplies 50 TOPS (trillions of operations per second) of AI power versus the 48 (Intel's Lunar Lake) and 45 (Qualcomm Snapdragon X Elite) its rivals provide. Vamsi Boppana, AMD's senior vice president of its AI group, claimed that AMD was up to 5X faster than the current Intel Core Ultra 7 155H in "time to first token," or how responsive an LLM (large language model) is when running on an AMD NPU. Typically, the more parameters an AI chatbot or LLM offers, the more accurate it is. But the more parameters an LLM offers, the slower it runs and the more memory and memory bandwidth it requires. An inaccurate LLM is useless; a glacially slow one is too. AMD is working to implement what's called a "block FP16" format for ISPs, to allow the accuracy of large language models with the processing speed of small language models. AMD also showed off a number of apps, including those used by the PC makers themselves, to demonstrate the power of the NPU. The bottom line is this: Do you want a productivity PC that can last all day? Or an AI machine? A gaming powerhouse? If you look at the latest Ryzen 9000 series, you'll see that the low-end Ryzen 5 and Ryzen 7 chips have cut their power quite a bit. There's nothing wrong with that. But consider what you've heard from AMD over the years: Threadripper. Ryzen. The X3D family. These aren't designed to power the wishy-washy PCs that you'll find at your local library. These are for true PCs, the kind that you grew up on, and I was surprised that AMD didn't seize the chance to fully embrace them. Low-power PCs are fine. But the world still needs a muscle car.
[9]
New AMD Ryzen AI 9 HX 370 "Strix" APU Benchmarks Reveal "Almost" 7945HX Multi-Threaded Performance, 12 Zen 5 Cores Vs 16 Zen 4 Cores On Laptops
The latest AMD Ryzen AI 9 HX 370 "Strix" APU benchmarks have leaked, showcasing how 12 Zen 5 cores come close to 16 Zen 4 cores in laptops. AMD's first Ryzen AI 300 laptops are expected to hit retail later this month & it looks like everyone is prepping for the launch. The two main chips that will be part of the Ryzen AI 300 family are the Ryzen AI 9 HX 370 and Ryzen AI 9 365. These are the two chips that have been announced so far but we have also spotted various other SKUs in the standard and PRO flavors. With that said, we have a new benchmark today, once again showcasing some brutally fast multi-threaded performance. The AMD Ryzen AI 9 HX 370 APU is part of the Ryzen AI 300 "Strix Point" family and features a 12-core and 24-thread chip that features a four Zen 5 and eight Zen 5C configuration. This chip runs at up to 5.1 GHz boost clocks, offers 36 MB of cache (24 MB L3 + 12 MB L2), & the Radeon 890M iGPU with 16 compute units or 1024 cores. So versus the previous flagship, the Ryzen 9 8945HS, you are getting 50% more cores/threads, 33.3% more compute units, and 3.12x the NPU performance which is great gen-over-gen gains. The AMD Ryzen AI 9 HX 370 "Strix" APU that has leaked was tested on the ASUS ProArt P16 laptop which is one of the new products that the manufacturer will be unveiling on the 17th of July at a special event. This laptop is configured with 32 GB of LPDDR5-7467 MT/s memory and should be packing the aforementioned iGPU unless ASUS goes with a discrete GPU route. According to the Geekbench log, the CPU ran at a maximum frequency of 5142 MHz which is slightly above its 5.1 GHz official boost clock. Coming to the performance figures, the AMD Ryzen AI 9 HX 370 "Strix" APU scored a maximum of 2893 points in the single-core and 15,279 points in the multi-core tests. Following are comparisons with some current-gen chips from Intel and AMD: With these new scores, the AMD Ryzen AI 9 HX 370 "Strix" APU sits 21% ahead of the Hawk Point flagship (8945HS) and 28% ahead of the Meteor Lake flagship (185H) in single-core tests. In multi-thread tests, the Strix APU sits 32% ahead of the Hawk Point flagship and 26% ahead of the Meteor Lake flagship. The 12-core Zen 5 CPU also gets super close to the 16-core Zen 4 chips which is just amazing. Versus the 12-core Zen 4 CPU, the 12-core Zen 5 APU offers a 12% boost in performance so you can expect what the next-gen 12 and 16-core Zen 5 "Fire Range" CPUs which will be aiming the high-end and enthusiast laptop space would have to offer. AMD's Ryzen AI 300 CPUs including the Ryzen AI 9 HX 370 "Strix" APU will be featured across several high-end "AI PC" and gaming laptops so stay tuned as we approach launch to see what more these designs have to offer.
Share
Share
Copy Link
AMD has revealed details about its upcoming Zen 5 architecture and Ryzen 9000 series processors, promising significant improvements in performance and efficiency. The new design lays the foundation for future CPU architectures and introduces advanced features like RDNA 3.5 iGPU and XDNA 2 NPU.
AMD has unveiled its highly anticipated Zen 5 architecture, marking a significant leap in CPU design. According to AMD, Zen 5 is a "ground-up redesign" that aims to lay the foundation for future Ryzen CPU architectures 1. This new architecture promises substantial improvements in performance, efficiency, and AI capabilities.
The Zen 5 architecture introduces several notable enhancements:
These improvements are expected to deliver a significant boost in Instructions Per Clock (IPC) performance compared to the previous Zen 4 architecture 2.
AMD has also detailed the Ryzen AI 300 series for mobile platforms, showcasing the integration of XDNA 2 NPU (Neural Processing Unit) in their upcoming Strix Point processors 3. This new NPU is designed to deliver up to 1.6x more performance than its predecessor while maintaining the same power envelope, enabling more efficient AI processing on mobile devices.
The Strix Point processors will feature an integrated GPU based on the RDNA 3.5 architecture. This new iGPU is expected to offer significant improvements in graphics performance, with AMD claiming up to 3x better performance per watt compared to the previous generation 4.
AMD has confirmed that the Ryzen 9000 series, based on the Zen 5 architecture, is scheduled for launch on July 31st 5. While specific pricing details remain undisclosed, the new processors are expected to offer:
The introduction of the Zen 5 architecture and Ryzen 9000 series represents a significant milestone for AMD and the CPU industry as a whole. As competition in the processor market intensifies, these innovations are likely to drive further advancements in computing technology, benefiting consumers and professionals alike.
With its focus on AI capabilities, improved performance, and energy efficiency, AMD's new offerings are poised to address the growing demands of modern computing tasks, from content creation to machine learning applications. As the launch date approaches, tech enthusiasts and industry observers eagerly await more detailed benchmarks and real-world performance data to fully assess the impact of these new processors on the market.
Reference
[2]
[3]
[4]
AMD has revealed details about its upcoming Zen 5 processor architecture, promising significant improvements in performance, efficiency, and versatility. The new design introduces a compact core variant and a revamped SoC architecture, setting the stage for the next generation of computing.
4 Sources
4 Sources
AMD's latest Ryzen 9 processors, the 9950X and 9900X, bring significant improvements in efficiency and performance. These new chips challenge Intel's dominance in the high-end desktop market.
5 Sources
5 Sources
AMD introduces a range of new Ryzen AI processors at CES 2025, including the high-performance Ryzen AI Max+ series and expanded Ryzen AI 300 and 200 series, targeting AI PCs, gaming, and professional workloads.
8 Sources
8 Sources
AMD launches its Ryzen AI 300 "Strix Point" APU, bringing powerful AI capabilities to laptops. Early benchmarks show impressive performance in both CPU and NPU tasks.
2 Sources
2 Sources
A major leak suggests AMD will unveil a wide range of new AI-capable processors and GPUs at CES 2025, including the RDNA 4 GPUs, Ryzen AI Max series, and next-gen gaming APUs, potentially positioning AMD as a strong competitor in the AI hardware market.
11 Sources
11 Sources
The Outpost is a comprehensive collection of curated artificial intelligence software tools that cater to the needs of small business owners, bloggers, artists, musicians, entrepreneurs, marketers, writers, and researchers.
© 2025 TheOutpost.AI All rights reserved